第61章 从拨号到ADSL

来找他,邀请他一起回家,这才作罢。

  于是,叶铭送走彭小飞后关上了实验室大门,然后重新带上了头套。

  他在心底呼唤。

  “伊塔。”

  “我在。”

  “代码思考好没有?”

  “一切OK。”

  “那你来。”

  说完,叶铭便闭上眼睛,“享受”起了头皮的阵阵酥麻。

  不得不说,这比用那什么灵魂提取器爽多了。

  而在他前面的显示器上,一行行代码正在迅速地、自动地生成——速度相比最初的时候快了起码几倍都不止!

  这其中,既有经过这么多天的AI和算法的迭代,对叶铭的脑信号识别越来越精准的原因,也有伊塔找到了最佳共振放电电压和频率的因素。

  如果说一开始的“网速”相当于拨号上网,那么现在,就有点类似于ADSL了。

  叶铭粗略计算过,按照目前的频率,每一秒,大概能传输2KB的字节——虽然比拨号上网还要慢太多,但已经足够让伊塔快速地完成工作了。

  于是乎,就在这一闭眼的功夫,伊塔便完成了代码输出。

  “搞定了,你调试一下。”

  “嗯。”

  在修复了两个格式问题后,调试通过,弹出了一个大大的,占据了满屏的界面。

  嗯,这很有叶铭的简约风格。

  界面上,是一个输入框,后面有一个上传的按钮。